32 Physical Design Engineer Jobs in San Jose, CA
-
Senior Physical Design Engineer Cadence Design Systems - San Jose, CA, United States Bachelor’s degree with at least 3-6 years of design/EDA experience or Master’s degree with at least 4 years of experience. Master’s... Yesterday
-
ASIC Physical Design Technical Leader Cisco - San Jose, CA, United States Drop, EM, and physical verification in advanced technology nodes. Resolve design and flow issues related to physical design, identify potential solutions, and drive execution. Deliver physical design 17 days ago
-
Physical Design Engineer Evolutyz Corp - San Jose, California Drive timing closure through Physical ECO (Engineering Change Order) and Tweaker methodologies. Proficiency in Fusion Compiler, Cadence... 7 days ago
-
DFT Engineer Intelliswift Software - San Jose, CA, United States , including MBIST, TAP, SerDes and other IP DFT integration - Working closely with STA and DI Engineers design closure for test - Generating, Verifying & Debugging Test vectors before tape release. - Validating 27 days ago
- Forum: Start a Discussion Join
-
DFT (Design For Test) Engineer Diverse Lynx - San Jose, California in each design stage of the product. From product architecture, define DFT solution, implementing the code in RTL, design verification, physical design implementation of DFT HW, pattern generation, gate Yesterday
-
Integrated Circuit Design Engineer Unreal Gigs - San Jose, California or Cadence Genus, and perform place-and-route using physical design tools to generate layout. Physical Design Support: Work closely with physical design engineers to provide floorplanning, placement 11 days ago
-
Physical Design Engineer (PnR) Quest Global - Mountain View, CA, United States Company Quest Global Job Area Hardware Engineering Job Description Summary General Summary: Physical Design Engineer (PnR) Join the Quest Global as a Physical Design Engineer(PnR) for the most Yesterday
-
Integrated Circuit Design Engineer Unreal Gigs - San Jose, California circuit functionality, timing constraints, and power consumption. Physical Design Support: Collaborate with physical design engineers to provide floorplanning, placement, and routing constraints, and guide 11 days ago
-
Analog IC Design Engineer Unreal Gigs - San Jose, California closely with layout engineers to guide the physical layout of analog circuits, providing design constraints, floor planning, and layout reviews to ensure layout compliance with design intent 11 days ago
-
PLL CIRCUIT DESIGN ENGINEER TekWissen ® - Santa Clara, CA, United States closely with layout engineers to deliver the physical design as well as define production/bench-level test plans with post-silicon characterization groups for silicon evaluation to ensure interlocked 3 days ago
Top locations
- Mountain View, CA (9)
- Santa Clara, CA (8)
- Sunnyvale, CA (8)
- Livermore, CA (4)
- Oakland, CA (2)
- Burlingame, CA (1)
- San Carlos, CA (1)
- Cupertino, CA (1)
- See more